Notebookcheck Logo

Intel detalha novas inovações de processos e nomes de nós, Alder Lake 10 nm Enhanced SuperFin é agora Intel 7; Intel 20A é o processo de 2 nm para 2024

Pacote de teste do Intel Meteoros Lake baseado na tecnologia de empilhamento Foveros 3D. (Fonte de imagem: Intel)
Pacote de teste do Intel Meteoros Lake baseado na tecnologia de empilhamento Foveros 3D. (Fonte de imagem: Intel)
Durante o evento Intel Accelerated, a empresa disse que estará se movendo para uma nomenclatura de processo mais simplificada, começando com Alder Lake, que fará parte da Intel 7 seguida pela Intel 4 Meteor Lake em 2022/23. A Intel também anunciou planos de entrar no processo de escala Angstrom com o primeiro processador 20A esperado em 2024, ao mesmo tempo em que também detalha novas melhorias de embalagem EMIB e Foveros

A Intel detalhou uma série de inovações de processo e embalagem como parte de sua estratégia de fabricação integrada de dispositivos (IDM) 2.0 durante seu webcast Intel Accelerated hoje. Estas inovações nos dão uma imagem do roteiro do processo da Intel até 2025 e mais além.

A Intel sente que os números indicados para indicar um nó de processo são "apenas números" e que há uma inconsistência inerente no esquema de nomenclatura atual. Portanto, a empresa não indicará mais o nó de processo em termos de nanômetros, mas usará um novo esquema de numeração como a seguir:

Intel 7: Este é o novo nome para o processo SuperFin aprimorado de 10 nm e será apresentado nas famílias de processadores Alder Lake (cliente, 2021) e Sapphire Rapids (datacenter, Q1 2022). A Intel 7 deverá oferecer um aumento de 10% a 15% no desempenho em relação ao atual processo SuperFin de 10 nm.

Intel 4: Esta é a parte atual da Intel 7 nm que utiliza litografia ultravioleta extrema (EUV) com um aumento estimado de 20% no desempenho por watt. A Intel 4 será apresentada em produtos como Meteor Lake (cliente) e Granite Rapids (datacenter) para produção em 2H 2022 e envio em 2023. O comprimento de onda ultra-curto EUV permite à Intel oferecer características como 192 unidades de execução (EUs) no iGPU de Meteor Lake e contagens de núcleo significativamente melhoradas (até 120?) para um processador dual-die Granite Rapids.

Intel 3: A Intel 3 verá a vantagem final e máxima das otimizações do FinFET. O FinFET estreou com processadores Ivy Bridge de 22 nm em 2011 e desde então já percorreu um longo caminho. A Intel 3 usa EUV aumentada para um aumento de aproximadamente 18% de desempenho por watt em relação à Intel 4, juntamente com melhorias adicionais de área. A Intel 3 começará a fabricar em 2H 2023.

Intel 20A: Com a Intel 20A, estamos entrando oficialmente na era Angstrom (10 Angstrom = 1 nm). A Intel 20A verá a introdução de uma nova arquitetura de transistor chamada RibbonFET para uma comutação mais rápida do transistor, enquanto alcança a mesma corrente de acionamento em uma área menor. Estreando ao lado do RibbonFET está o PowerVia, a primeira implementação da empresa de um mecanismo de entrega de energia traseira que nega a necessidade de roteamento de energia na frente do wafer.

A Intel 20A aumentará em 2024, esperando-se que a Qualcomm seja um dos parceiros que irá alavancar este nó de processo.

Intel 18A e mais além: A Intel disse que a Intel 18A já está em desenvolvimento para o início de 2025. A Intel 18A usará mais refinamentos na RibbonFET e estará entre os primeiros a receber e implantar a litografia EUV de alta abertura numérica (NA) em colaboração com a gigante litográfica ASML.

Além da melhoria do processo acima mencionado, a Intel também detalhou seu roteiro de embalagem. A Intel Foundry Services (IFS) também está recebendo seu primeiro cliente com a Amazon AWS.

EMIB: A embalagem EMIB foi mostrada pela primeira vez durante o lançamento da Kaby Lake-Gprocessadores em 2017. A Intel continuará a investir no EMIB com o Sapphire Rapids programado para ser a primeira família Xeon a implementá-lo. A Intel disse que este será o primeiro dispositivo de tamanho duplo na indústria que se compara a um design monolítico. A próxima geração do EMIB passará de um campo de choque de 55 mícrons para 45 mícrons.

Foveros: Foveros é a solução de embalagem 3D da Intel que estreou pela primeira vez com Lakefield processadores. A segunda geração de Foveros será vista em Meteor Lake em 2022 e contará com um campo de colisão de 36 microns, nós de tecnologia múltipla de espalhamento de telhas e um TDP entre 5 W e 125 W.

Foveros Omni: Foveros Omni permite ainda mais heterogeneidade nas telhas superiores e inferiores do pacote através de diferentes nós. Espera-se que a fabricação em volume comece em 2023.

Foveros Direct: Foveros Direct irá complementar Foveros Omni em 2023, possibilitando lances de lombada sub-10 nm para uma densidade de interconexão ainda maior no empilhamento 3D. Foveros Direct usará a colagem direta de cobre com cobre para interconexões de baixa resistência e desfocará a linha entre a embalagem e a pastilha.

A empresa disse que mais informações sobre processo e embalagem estarão disponíveis durante o evento Intel InnovatiON a ser realizado em São Francisco nos dias 27-28 de outubro de 2021.

Compre o Intel Core i7-11700K na Amazon

Fonte(s)

Webcast Acelerado Intel

Please share our article, every link counts!
> Análises e revisões de portáteis e celulares > Arquivo de notícias 2021 07 > Intel detalha novas inovações de processos e nomes de nós, Alder Lake 10 nm Enhanced SuperFin é agora Intel 7; Intel 20A é o processo de 2 nm para 2024
Vaidyanathan Subramaniam, 2021-07-27 (Update: 2021-07-27)